联系我们

公司电话:17190811125

经理邮箱:zeta@mautow.com

销售邮箱:sale@mautow.com

公司地址:大连开发区辽河西三路169号

官方网站>专题杂项>Linux杂论Linux杂论

Linux下ModelSim安装
作者: mautow  点击次数:   更新时间: 2019-05-17

      ModelSim 是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL 和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护 IP 核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

      以前,只有低版本的ModelSim6.5在Linux下可使用。经过多次试验,终于在Ubuntu下安装成功高版本的ModelSim10.1c,在此详述其过程,详细说明见:百度云盘下载。有疑问,欢迎讨论。精益求精,我们的理念!